Subversion Repositories public iLand

Rev

Go to most recent revision | Show changed files | Details | Compare with Previous | Blame | RSS feed

Filtering Options

Rev Age Author Path Log message Diff
595 4885d 21h werner /src/core/ changed behavior of coarse roots: if biomass exceeds value from allometric relation, the excell biomass is pushed to the soil (avoid piling up of coarse root carbon in the soil)
inlined some biomass functions in species.h.
 
535 4957d 15h werner /src/core/ modified calculation of the Species::soilwaterResponse() following a discussion during paper preparation.
# Supplement
- Eq. S7: ja, sollte glaub ich schon tatsaechlich so ausschauen, wie im Supplement, da sollten wir ev. den Code nachziehen. Wie ist denn deine Einschaetzung, gibts dadurch grosse Aenderungen, und wenn ja in welche Richtung? Und wir sollten wegen der Konsistenz aufpassen. Wenn ich mich recht erinnere ist es ja jetzt so, das wir die Wasserkapazitaet bis zur Psi_sat rechnen (i.e. es wird mehr Wasser gespeichert als wenn wir unsere WHC nur bis Psi_fc definieren wuerden; i.e. mein default setting fuer model.settings.waterUseSoilSaturation=TRUE). Das passt aber schon so weit ich das sehe, i.e. zwischen Psi_sat und Psi_fc sollte die response dann einfach 1 sein.
---> Ich hab das im Code nachgezogen. Der Effekt ist IMHO minimal und wirkt sich dadurch aus, dass bei sehr guter wasserversorgung die soilwaterresponse ein klein wenig besser wird (dritte stelle nach dem komma)
 
534 4957d 16h werner /src/ large(r) update of the soil model. Now, the 'kyl' and 'kyr' parameters (i.e. decomposition rates for the litter/wood-soil pool) are now species parameters and calculated dynamically from the litter inputs.  
528 4961d 08h werner /src/ more enabling of C/N cycling in iLand. little GUI-feature: click on a RU in resource-unit visualization now properly shows RU-related debug outputs.  
511 4989d 19h werner /src/ again, the reineke  
508 4990d 21h werner /src/ fixed calculation of number of saplings (Reineke)  
493 5009d 18h werner /src/ some GUI improvements: paint trees according to a predefined tree color, added also a general info-statusbar-message that shows the runyear/maxrunyear.

use this SQL to add necessary columns:

-- script to add "displayColor" and to fix the spelling error of "reineke"
-- 2010-10-16

alter table species rename to species_copy;

CREATE TABLE "species" ("shortName" CHAR(4),"name" TEXT,"active" INTEGER, "displayColor" TEXT, "LIPFile" TEXT,"specificLeafArea" REAL,"turnoverLeaf" REAL,"turnoverRoot" REAL,"HDlow" TEXT,"HDhigh" TEXT,"woodDensity" REAL,"formFactor" REAL,"bmWoody_a" REAL,"bmWoody_b" REAL,"bmFoliage_a" REAL,"bmFoliage_b" REAL,"bmRoot_a" REAL,"bmRoot_b" REAL,"bmBranch_a" REAL,"bmBranch_b" REAL,"probIntrinsic" REAL,"probStress" REAL,"maximumAge" REAL,"maximumHeight" REAL,"aging" TEXT,
"respVpdExponent" real,"respTempMin" real,"respTempMax" real,"respNitrogenClass" real,"phenologyClass" integer,"isConiferous" INTEGER,"isEvergreen" INTEGER,"maxCanopyConductance" INTEGER,"psiMin" INTEGER,"lightResponseClass" real,"finerootFoliageRatio" real, maturityYears integer, seedYearInterval integer, nonSeedYearFraction real, fecundity_m2 real, seedKernel_as1 real, seedKernel_as2 real, seedKernel_ks0 real,
estMinTemp real, estChillRequirement integer, estGDDMin integer, estGDDMax integer, estGDDBaseTemp real, estBudBirstGDD integer, estFrostFreeDays integer, estFrostTolerance double, sapHeightGrowthPotential text, sapMaxStressYears integer, sapStressThreshold real, sapHDSapling real, sapReinekesR real, sapReferenceRatio real);

insert into species (shortName, name, active, LIPFile, specificLeafArea, turnoverLeaf, turnoverRoot, HDlow, HDhigh, woodDensity, formFactor, bmWoody_a, bmWoody_b, bmFoliage_a, bmFoliage_b, bmRoot_a, bmRoot_b, bmBranch_a, bmBranch_b, probIntrinsic, probStress, maximumAge, maximumHeight, aging, respVpdExponent,
respTempMin, respTempMax, respNitrogenClass, phenologyClass, isConiferous, isEvergreen, maxCanopyConductance, psiMin, lightResponseClass, finerootFoliageRatio, maturityYears, seedYearInterval, nonSeedYearFraction, fecundity_m2, seedKernel_as1, seedKernel_as2, seedKernel_ks0,
estMinTemp, estChillRequirement, estGDDMin, estGDDMax, estGDDBaseTemp, estBudBirstGDD, estFrostFreeDays, estFrostTolerance, sapHeightGrowthPotential, sapMaxStressYears, sapStressThreshold, sapHDSapling, sapReinekesR, sapReferenceRatio
)
select shortName, name, active, LIPFile, specificLeafArea, turnoverLeaf, turnoverRoot, HDlow, HDhigh, woodDensity, formFactor, bmWoody_a, bmWoody_b, bmFoliage_a, bmFoliage_b, bmRoot_a, bmRoot_b, bmBranch_a, bmBranch_b, probIntrinsic, probStress, maximumAge, maximumHeight, aging, respVpdExponent,
respTempMin, respTempMax, respNitrogenClass, phenologyClass, isConiferous, isEvergreen, maxCanopyConductance, psiMin, lightResponseClass, finerootFoliageRatio, maturityYears, seedYearInterval, nonSeedYearFraction, fecundity_m2, seedKernel_as1, seedKernel_as2, seedKernel_ks0,
estMinTemp, estChillRequirement, estGDDMin, estGDDMax, estGDDBaseTemp, estBudBirstGDD, estFrostFreeDays, estFrostTolerance, sapHeightGrowthPotential, sapMaxStressYears, sapStressThreshold, sapHDSapling, sapReineckesR, sapReferenceRatio
FROM species_copy;

update species set displayColor = '3E9C49'; -- a simple green
 
483 5012d 17h werner /src/core/ recruitment: recruit the number of individuals provided by the Reineke equation.
(correct spelling error: reinecke -> reineke)
 
475 5013d 17h werner /src/ * Snag dynamics
debug output "SnagDynamics"
life cycle of Snag objects
include Snag Dynamics in newYear / year end routines

* threadrunner.cpp: parallel execution of species related tasks, e.g. the seed dispersal. In the current form, the ThreadRunner is much nicer:
ThreadRunner runner(mActiveSpecies);
runner.run(func_ptr);
 
470 5017d 21h werner /src/core/ add light response function to sapling growth (rest: constness of lightresponse function calls)  
467 5018d 21h werner /src/core/ added species-parameter "sapReferenceFactor" (for calculation of f_env,yr for sapling growth).  
460 5019d 12h werner /src/ changed label in regeneration debug output,
added minimum height of 4m as requirement for seed production.
 
453 5069d 08h werner /src/ sapling growth now in a basic working state. started to implement recruitment. One bug with the "maximum-establishment-height-grid" known.
added also visualization of regeneration layer. Once I have time, I should restructure the drawing/visualization code as it gets quirky (and a paper-concept is available...)
 
450 5069d 18h werner /src/core/ implementing sapling growth...  
449 5069d 19h werner /src/ start of implementation of sapling growth.
setup of the temporal height-map for saplings.
 
446 5118d 20h werner /src/ put Establishment parameters into database (total of 8 params).
new version 0.3 -- yeah!
just for the records the SQL for regeneration and Establishment:

-- add columns for regeneration
alter table species add maturityYears integer;
alter table species add seedYearInterval integer;
alter table species add nonSeedYearFraction real;
alter table species add fecundity_m2 real;
alter table species add seedKernel_as1 real;
alter table species add seedKernel_as2 real;
alter table species add seedKernel_ks0 real;

-- default values regeneration
update species
set maturityYears=30,seedYearInterval=5, nonSeedYearFraction=0.25, fecundity_m2=100, seedKernel_as1=100, seedKernel_as2=0, seedKernel_ks0=0;


-- add columns for establishment
/* --> corresponding c++-struct:
struct EstablishmentParameters
{
double min_temp; //degC
int chill_requirement; // days of chilling requirement
int GDD_min, GDD_max; // GDD thresholds
double GDD_baseTemperature; // for GDD-calc: GDD=sum(T - baseTemp)
int bud_birst; // GDDs needed until bud burst
int frost_free; // minimum number of annual frost-free days required
double frost_tolerance; //factor in growing season frost tolerance calculation
EstablishmentParameters(): min_temp(-37), chill_requirement(56), GDD_min(177), GDD_max(3261), GDD_baseTemperature(3.4),
bud_birst(255), frost_free(65), frost_tolerance(0.5) {}
}; */

alter table species add estMinTemp real;
alter table species add estChillRequirement integer;
alter table species add estGDDMin integer;
alter table species add estGDDMax integer;
alter table species add estGDDBaseTemp real;
alter table species add estBudBirstGDD integer;
alter table species add estFrostFreeDays integer;
alter table species add estFrostTolerance double;


-- default values establishment
update species
set estMinTemp=-37, estChillRequirement=56, estGDDMin=177, estGDDMaX=3261, estGDDBaseTemp=3.4,
estBudBirstGDD=255, estFrostFreeDays=65, estFrostTolerance=0.5;
 
445 5118d 20h werner /src/core/ added database species parameters for seed dispersal, fixed a bug with random generator in StandLoader, change maturity criterion for seed production to age instead of height.  
425 5150d 18h werner /src/ made the calculate() and execute() function of Expression const (using a trick), so there is no more need for un-const functions which should be const (e.g. in Species).  
420 5151d 09h werner /src/core/ removed the QMutex and the QMutexLocker for serializing the execution of expression evaluations. This is no longer necessary, because Expression::calculate() now is reentrant.
The performance effect of this change is awesome: the time used to grow individual trees drops by ca. 40% (the total runtime is maybe 5-10% faster) (tested with iLandSpeed.xml -> 2x2km)
 
415 5151d 18h werner /src/core/ seed dispersal:
* defining synchronized seed years for each species
* use different seed kernels depending on seed year state
* create two kernels for each species (1x seed year, 1 x non-seed-year)
* newYear() function also for each Species
 
391 5178d 16h werner /src/ a rather large update mainly in course of the implementation of seed dispersal.
* integrating the seed dispersal within the model logic
(new function e.g. SpeciesSet::newYear() or SpeciesSet::regeneration()).
* SeedDispersal: implementation of the Seed-Kernel a la TreeMig (see the R-Script on dispersal by Rupert)
Additionally:
new functions (sum(), multiply() for the Grid-template.
 
388 5179d 09h werner /src/core/ remodified the age calculation. Now the age is estimated based on the current height relative to h_max. The aging-calculation itself is no longer changed by that.  
387 5179d 09h werner /src/ integrating seed dispersal into the model
moved global constants for grid sizes into globalsettings.h
 
385 5179d 18h werner /src/core/ little update to tree age issues  
381 5179d 20h werner /src/core/ appropriate handling of tree age during initialization.
if no age is provided in the input file only the tree height is used for the aging calculation. The tree "age" in that case reflects only the number of years since initialization.
 
308 5334d 18h werner /src/ changed stress mortality (tracker#4)  
304 5336d 16h werner /src/core/ changed parameter name psiMax to psiMin (tracker#6)  
276 5374d 09h werner /src/ added explicit fine root compartment in tree. Changed partitioning, the calculation of the stress index, follow-up change in several outputs.  
274 5374d 11h werner /src/ lightResponseClasses (changed in SpeciesSet, Species and Tree)  
268 5375d 09h werner /src/core/ calculate permanent wilting point by weighing species-specifc psiMax value based on LAI on a resource unit.  
266 5375d 10h werner /src/ implemented soil water response (phase 1)  
265 5376d 00h werner /src/ tried to run iLand again for larger area, and got into concurrency-issues. Reasons:
* debug-messages: are now buffered in a string list and not instantly sent to the QTextEdit
* Expressions: lightResponse-formula: accessed concurrently by several threads; now there are thread-safe versions (executeLocked() and calculateLocked()) that use a QMutexLocker to properly serialize the execution flow.
 
257 5377d 08h werner /src/ added production based (tree) statistics.
StandOut after tree initialization.
some documentation polishing.
 
255 5378d 05h werner /src/ const-ness of Climate.
shell for species response water.
 
236 5383d 03h werner /src/core/ new species parameter: isConiferous, isEvergreen and canopyConductance.  
226 5385d 06h werner /src/core/ working on 3pg, the species specific responses and linking it all together.  
214 5391d 04h werner /src/ finished Phenology. lots of related changes.  
209 5392d 04h werner /src/ Environmental responses:
VPD, Temperature, CO2, Nitrogen
 
179 5399d 03h werner /src/ added standoutput (accompanied by some changes at other places)  
169 5404d 08h werner /src/ Aging in Tree growth (Species::aging()), species parameters, ...